希捷BackupPlus1TB USB3.0移动硬盘459元天猫官方店包邮

2014-03-16 21:50  出处:PConline原创  作者:太平洋小丸子   责任编辑:suijuguang 

  PConline电商行情】希捷天猫官方店3月14日-3月17日店庆,希捷BackupPlus 1TB USB3.0移动硬盘459元包邮,送保护套+延长线,感兴趣的朋友可以关注下。希捷Backup Plus 睿品2.5寸 1TB是希捷推出全球首款Backup Plus备份硬盘。作为希捷的重塑消费存储产品系列,Backup Plus可实现最简单的设置、一键备份、保存及共享Facebook和Flickr内容等各种功能。

最新价格变动表
型号电商网站现价(元)包邮备注

希捷 Backup Plus 睿品 2.5寸(1TB)

天猫商城459元优惠购买链接
采集日期:2014年3月17日

Backup Plus备份 希捷睿品1TB报619
希捷 Backup Plus 睿品 2.5寸(1TB)  图片  系列  评测  论坛  报价  购买链接 

  Seagate Backup Plus硬盘是新设计的外置存储设备,能够与Windows及Apple计算机互操作,并提供各种全新的功能,以保护、共享和保存我们数字生活的方方面面。这些产品预装希捷全新的无障碍Dashboard软件,可实现一键本地备份,从而更加轻松地消除珍贵数字内容备份方面的各种问题和疑虑。希捷Backup Plus外置硬盘在全球率先提供社交网络(如Facebook和Flickr)的内容备份功能。

PConline产品库——规格参数
品牌希捷
系列希捷 Backup Plus 2.5寸系列
型号STBU1000300
设备类型移动硬盘
容量大小1000G
主要参数可以一键将照片和视频上传至社交网站
通过升级至Thunderbolt技术或 FireWire 800来提高传输速度
接口USB 3.0接口
产品链接//product.pconline.com.cn/mobiledisk/seagate/517050.html
IT商城网购实价
更多详细资料图片  报价  参数  比较  网友点评  评测·行情

  编辑点评:希捷BackupPlus 1TB USB3.0即插即用,无需安装任何软件,PC&Mac双系统版本支持。标配USB3.0极速数据线,内置Dashboard智能备份软件,附赠4GB 免费1年的云端备份。三年质保,全国联保。

  [参考价格]:希捷 Backup Plus 睿品 2.5寸(1TB)  459元
  [销售商家]:天猫商城希捷官方旗舰店  包邮
  [商家地址]:点击购买