1周年庆希捷 睿品2.5寸 1TB仅售669元

2012-12-02 13:20  出处:PConline原创  作者:佚名   责任编辑:pckunming 

  【PConline昆明 行情】12月1日,希捷Backup Plus 睿品2.5寸 是希捷推出全球首款Backup Plus备份硬盘。作为希捷的重塑消费存储产品系列,Backup Plus可实现最简单的设置、一键备份、保存及共享Facebook和Flickr内容等各种功能。

移动硬盘最新价格变动表
型号 商家 现价(元) 升跌(元) 备注
希捷Backup Plus 睿品2.5寸 1TB 海存科技 669 - -
采集日期:2012年12月01日         更多行情价格变动请点击昆明移动硬盘行情

 Backup Plus
希捷 Backup Plus 睿品 2.5寸(1TB)  图片  系列  评测  论坛  报价  网购实价  

  性能方面,希捷 FreeAgent GoFlex(1TB)移动硬盘采用自家的硬盘产品,转速为7200PRM,在Windows系统下支持AES 192-bit加密。同时希捷FreeAgent GoFlex Pro移动硬盘还首次实现了在Windows和Mac计算机之间的文件共享与读写,而无需重新格式化,让用户在两种操作系统下能够更灵活便捷的存储和访问文件。使用时仅需要将GoFlex Rro的内置NTFS驱动程序在Mac计算机上设置一次,便可访问和存储Windows兼容格式的文件,轻松实现资源共享。

Backup Plus
希捷 Backup Plus 睿品 2.5寸(1TB)  图片  系列  评测  论坛  报价  网购实价  

  Seagate Backup Plus硬盘是新设计的外置存储设备,能够与Windows及Apple计算机互操作,并提供各种全新的功能,以保护、共享和保存我们数字生活的方方面面。这些产品预装希捷全新的无障碍Dashboard软件,可实现一键本地备份,从而更加轻松地消除珍贵数字内容备份方面的各种问题和疑虑。希捷Backup Plus外置硬盘在全球率先提供社交网络(如Facebook和Flickr)的内容备份功能。

Backup Plus
希捷 Backup Plus 睿品 2.5寸(1TB)  图片  系列  评测  论坛  报价  网购实价  
 

PConline产品库——规格参数
品牌 希捷
系列 希捷 Backup Plus 2.5寸系列
型号 STBU1000300
设备类型 移动硬盘
容量大小 1000G
主要参数 可以一键将照片和视频上传至社交网站
通过升级至Thunderbolt技术或 FireWire 800来提高传输速度
接口 USB 3.0接口
产品链接 //product.pconline.com.cn/mobiledisk/seagate/517050.html
IT商城 网购实价
更多详细资料 图片  报价  参数  比较  网友点评  评测·行情  

  编辑点评:Backup Plus 便携式硬盘可以随身携带,提供简单、即插即用。并且捷 FreeAgent GoFlex外观设计非常时尚,多彩的配色看上去充满活力,令人一见倾心。此外,这款硬盘实现了在Windows和Mac计算机之间的文件共享与读写,而无需重新格式化,让用户在两种操作系统下能够更灵活便捷的存储和访问文件

  在选购的时候,提到我们太平洋电脑网,还可以享受优惠哦!

  [参考价格]:希捷Backup Plus 睿品2.5寸 1TB 669元
  [推荐商家]:海存科技
  [联系地址]:云南省昆明市五华区佰腾数码广场A座2F-Z-3A席位,
  [销售热线]:15925176292 点击这里给我发消息 点击这里给我发消息
  [行情查询]:昆明移动硬盘行情
  [报价查询]:昆明移动硬盘报价