超大容量 希捷Backup Plus 2T仅589元

2014-05-29 10:13  出处:PConline原创  作者:佚名   责任编辑:liuhuaqian1 

  【PConline电商站 行情USB3.0已成为当前存储的新主流规格,而作为希捷倾力打造的睿翼、睿品系列移动存储也携最新接口和感官全新亮相,现天猫商家希捷Backup Plus 2T 3.5寸版仅售589元,喜欢的朋友不要错过了。

数码产品最新价格变动表
型号 之前报价(元) 现价(元) 升跌(元) 备注
希捷 Backup Plus 睿品 3.5寸(2TB) -- 589 --  --
采集日期:2014年05月29日 更多行情价格变动请点击电商数码存储行情

希捷 Backup Plus 睿品 3.5寸(2TB)
希捷 Backup Plus 睿品 3.5寸(2TB)   图片  系列  评测  论坛  报价  网购实价  

  Seagate Backup Plus硬盘是新设计的外置存储设备,能够与Windows及Apple计算机互操作,并提供各种全新的功能,以保护、共享和保存我们数字生活的方方面面。这些产品预装希捷全新的无障碍Dashboard软件,可实现一键本地备份,从而更加轻松地消除珍贵数字内容备份方面的各种问题和疑虑。

PConline产品库——规格参数
品牌 希捷
系列 希捷 Backup Plus 3.5寸系列
型号 STCA2000300
设备类型 移动硬盘
容量大小 2000G
主要参数 可以一键将照片和视频上传至社交网站
通过升级至Thunderbolt技术 FireWire 800来提高传输速度
接口 USB 3.0接口
产品链接 //product.pconline.com.cn/mobiledisk/seagate/517055.html
网购比价 各大电商价格对比
IT商城 网购实价
更多详细资料 图片  报价  参数  比较  网友点评  评测·行情

  编辑点评:Backup Plus 便携式硬盘可以随身携带,提供简单、即插即用。此外,Backup Plus 附赠4GB 免费1年的云端备份。不管是自动备份、定制备份或是云端备份,只需按动手指,让备份随心所欲。[返回电商分站查看更多]

  购机时提及PConline太平洋电脑网将会获取更好的服务或优惠。

  [参考价格]:希捷 Backup Plus 睿品 3.5寸(2TB)  589元
  [销售商家]:天猫商城
  [销售地址]:点击购买
  [行情查询]:电商频道

电商大事记:快乐回家! 数码年货大作战