正在阅读:时尚、超薄、大气!大容量移动硬盘推荐时尚、超薄、大气!大容量移动硬盘推荐

2013-08-23 09:39 出处:PConline原创 作者:milkboy 责任编辑:zhaolianyu

推荐产品:希捷Backup Plus 睿品2.5寸 1TB
参考价格:499元

  希捷Backup Plus 睿品2.5寸 1TB是希捷推出全球首款Backup Plus备份硬盘。作为希捷的重塑消费存储产品系列,Backup Plus可实现最简单的设置、一键备份、保存及共享Facebook和Flickr内容等各种功能。轻松操作,且超薄时尚的设计非常受年轻人的欢迎。

Backup Plus备份 希捷睿品1TB报619
希捷 Backup Plus 睿品 2.5寸(1TB)  图片  系列  评测  论坛  报价  网购实价  

  Seagate Backup Plus硬盘是新设计的外置存储设备,能够与Windows及Apple计算机互操作,并提供各种全新的功能,以保护、共享和保存我们数字生活的方方面面。这些产品预装希捷全新的无障碍Dashboard软件,可实现一键本地备份,从而更加轻松地消除珍贵数字内容备份方面的各种问题和疑虑。希捷Backup Plus外置硬盘在全球率先提供社交网络(如Facebook和Flickr)的内容备份功能。

  希捷 Backup Plus 新睿品的外观采用了新的设计,不再采用旧款圆润的设计,而是采用外形硬朗的线条切割造型。表面也不再是抛光面,而改为了质感更好的拉丝金属效果。另外一个好处就是磨花了也不容易察觉。

希捷新睿品500G
希捷 Backup Plus 新睿品

  希捷 Backup Plus 新睿品移动硬盘的一大特色在于能够与Windows及Apple计算机互操作,并提供各种全新的功能面。预装了希捷全新的无障碍Dashboard软件,可实现一键本地备份,不再需要麻烦的手动备份操作。

PConline产品库——规格参数
品牌希捷
系列希捷 Backup Plus 2.5寸系列
型号STBU1000300
设备类型移动硬盘
容量大小1000G
主要参数可以一键将照片和视频上传至社交网站
通过升级至Thunderbolt技术或 FireWire 800来提高传输速度
接口USB 3.0接口
产品链接//product.pconline.com.cn/mobiledisk/seagate/517050.html
IT商城网购实价
更多详细资料图片  报价  参数  比较  网友点评  评测·行情

  编辑点评:Backup Plus 便携式硬盘可以随身携带,提供简单、即插即用。此外,Backup Plus 附赠4GB 免费1年的云端备份。不管是自动备份、定制备份或是云端备份,只需按动手指,让备份随心所欲。简单易用又时尚,是很多在校生的选择。

键盘也能翻页,试试“← →”键

为您推荐

加载更多
加载更多
加载更多
加载更多
加载更多
加载更多
加载更多
加载更多
加载更多

摄影论坛帖子排行

最高点击 最高回复 最新
最新资讯离线随时看 聊天吐槽赢奖品